串并转换verilog程序
来源:互联网 发布:淘宝店铺怎么设置客服 编辑:程序博客网 时间:2024/06/05 04:17
一个串并转换的简单实现方法,用计数器的最低位作为时钟sclk,数据采用移位寄存器输出。
verilog程序如下
`timescale 1ns/1nsmodule p2s ( input clk, input rst_n, input load, input [7:0] pdata, output sclk, output sdat );`define FULL 4'hfreg [3:0] bitcnt;reg en;reg [7:0] sbuff;always @(posedge clk or negedge rst_n) if(!rst_n) en <= 0; else if(load) en <= 1'b1; else if(bitcnt==`FULL) en <= 1'b0;always @(posedge clk or negedge rst_n) if(!rst_n) bitcnt <= 0; else if(en) bitcnt <= bitcnt + 'b1; else bitcnt <= 0;assign sclk = bitcnt[0];always @(posedge clk or negedge rst_n) if(!rst_n) sbuff <= 8'b0; else if(load) sbuff <= pdata; else if(sclk) sbuff <= sbuff<<1;assign sdat = sbuff[7];endmodule
`timescale 1ns/1nsmodule tb;bit clk;bit rst_n;bit load;bit [7:0] pdata;wire sclk;wire sdat;p2s p2s ( clk, rst_n, load, pdata, sclk, sdat );initial forever #100 clk = !clk;initial begin #120; rst_n = 1; repeat(16) @(posedge clk); @(posedge clk); #1; load = 1; pdata = 8'ha6; @(posedge clk); #1; load = 0; pdata = 8'ha6; repeat(32) @(posedge clk); $stop; endendmodule
阅读全文
0 0
- 串并转换verilog程序
- 关于串并转换的VERILOG代码
- verilog入门经验(三)-- 并转串
- 关于verilog分频程序
- 常用Verilog HDL程序
- 关于verilog分频程序
- 串并并串转换
- Verilog转换为VHDL注意事项
- 阻抗串并转换
- 串/并转换
- 基于verilog的交通灯程序
- Verilog编写的Uart程序
- SCCB协议Verilog HDL程序
- EEPROM的verilog HDL程序
- Verilog 如何读取并写入文件
- MAC上写Verilog并编译仿真
- YCbCr2转换成RGB的verilog解析
- Verilog - 二进制数转换成BCD编码
- 利用继承和多态扩充程序功能
- 【数据结构基础】二叉搜索(排序)树的基本操作
- Linux-rpm命令管理介绍
- 微信联系人列表点击侧边栏首字母定位到相应联系人列表的实现方法
- Kotlin汇总1
- 串并转换verilog程序
- USACO-Section 1.3 Barn Repair[...]
- 转载“克鲁斯卡尔算法的详解”
- 栈
- 现在看到这里
- 1011. A+B和C (15)
- SAP UI5的HTML调用view报错500
- mybatis传多个参数(不使用@param注解情况下),3.4.2版本之后使用#{0}-#{n}引起的参数绑定异常,以及settings属性中useActualParamName的作用。
- 深度学习权重初始化的原则