串转并模块设计
来源:互联网 发布:工程预算套定额软件 编辑:程序博客网 时间:2024/06/05 05:37
可以在测试代码中加入一个串行数据转换成并行数据的模块,它的部件架构如图所示:
测试代码:
`timescale 1ns/1psmodule para_serial_tb;reg clk ;reg rst_n ;wire sda ;wire en ;initial begin clk = 0 ; rst_n = 0; # 100.1 rst_n = 1 ; end always #10 clk =~clk ;//---------------------串并转换模块------------------------//reg [7:0] sda_reg;always @ (posedge clk or posedge rst_n) begin if(!rst_n) begin sda_reg <= 0; end else begin if(en) begin sda_reg<= {sda_reg[6:0],sda}; end else begin sda_reg<= 0 ; end end end //---------------------实例化------------------------//para_serial para_serial_dut(.clk(clk), .rst_n(rst_n),.sda(sda) ,.en(en)); endmodule
仿真波形:
阅读全文
1 0
- 并转串模块设计
- 串转并模块设计
- 六、FPGA设计之并转串设计
- 模块设计
- 模块设计
- FGPA实现串转并,同时对数据打包的模块
- 【VHDL】计数器/串转并/并转串电路VHDL设计
- linux 使用usb转串口模块并读串口数据
- 五、FPGA设计之8比特串并转换设计
- 模块设计报告
- Petstore的模块设计
- 系统管理模块数据库设计
- 前台JS模块设计
- 理解冲突:模块设计
- SDRAM模块设计
- 中断处理模块设计
- 复位模块设计
- LCD模块设计
- 课程连接
- vue 2.0 父组件调用子组件中的方法
- Redis和Memcache对比及选择
- 名词过滤(正则表达式)
- Django 遇到的坑
- 串转并模块设计
- Android 为什么注册ACTION_BATTERY_CHANGED,就能立即得到当前电量
- poj 2739 Sum of Consecutive Prime Numbers
- 通视频URL截取第一帧图片
- 代码访问 https 遇到的问题
- UiAutomatorviewer 真机 识别不到
- Android UI之Banner图实现沉浸式(或者app开屏图实现沉浸式)
- Android TypeEvaluator evaluate方法参数解释
- ffmpeg udp推流指定网口