并转串模块设计
来源:互联网 发布:知世故而不世故, 编辑:程序博客网 时间:2024/05/29 12:51
顶层框架设计:
并转串代码:
module para_serial(clk, rst_n,sda ,en);input clk ;input rst_n ;output reg sda ;output reg en ;reg [7:0] sda_buf ;reg [3:0] counter ;always @ (posedge clk or negedge rst_n) begin if (!rst_n) begin sda <= 0; sda_buf <= 8'b1001_1101 ; counter <= 0 ; en <= 0 ; end else begin if(counter < 8 ) begin en <= 1 ; counter <= counter + 1'b1 ; sda_buf <= {sda_buf[6:0],sda_buf[7]}; sda <= sda_buf[7]; end else begin counter <= 0 ; sda <= 0; en <= 0; end end end endmodule
测试代码:
`timescale 1ns/1psmodule para_serial_tb;reg clk ;reg rst_n ;wire sda ;wire en ;initial begin clk = 0 ; rst_n = 0; # 100.1 rst_n = 1 ; end always #10 clk =~clk ;para_serial para_serial_dut(.clk(clk), .rst_n(rst_n),.sda(sda) ,.en(en)); endmodule
仿真验证:
阅读全文
0 0
- 并转串模块设计
- 串转并模块设计
- 六、FPGA设计之并转串设计
- 模块设计
- 模块设计
- FGPA实现串转并,同时对数据打包的模块
- 【VHDL】计数器/串转并/并转串电路VHDL设计
- linux 使用usb转串口模块并读串口数据
- 五、FPGA设计之8比特串并转换设计
- 模块设计报告
- Petstore的模块设计
- 系统管理模块数据库设计
- 前台JS模块设计
- 理解冲突:模块设计
- SDRAM模块设计
- 中断处理模块设计
- 复位模块设计
- LCD模块设计
- spring加载本地xsd
- iOS开发:沙盒机制以及利用沙盒存储字符串、数组、字典等数据
- 漫谈并发编程:Actor模型
- python单引号、双引号、三引号
- NAT技术与代理服务器
- 并转串模块设计
- 剑指offer——二叉搜索树的后序遍历序列(思路不错)
- [CodeM初赛A轮]C
- Swift学习之职责链模式详解
- Zabbix的安装
- pluralize方法
- WebView性能优化
- ios 移除UIView上所有的subViews
- 漫谈并发编程:用MPI进行分布式内存编程(入门篇)