emacs evil-matchit实现verilog配对的代码跳转
来源:互联网 发布:淘宝0.01元秒杀是什么 编辑:程序博客网 时间:2024/05/22 12:41
- 背景
- emacs插件evil-matchit
- 参考文档
背景
vim里常使用%进行跳转。遇到代码段较长的情况,跳转方便而且有助于debug。
vim 实现begin end 配对 使用matchit插件 - 岁月长河 - 博客园
http://www.cnblogs.com/air-of-code/p/4733151.html
emacs怎么搞?
emacs插件evil-matchit
spacemacs默认是支持的。不过要手动打开minor-mode(m-x evil-matchit-mode)。
evil-matchit插件默认支持verilog。
阅读evil-matchit-verilog.el代码后,可知已经实现了大部分代码的配对。
(defvar evilmi-verilog-match-tags '(("module" () "endmodule" "MONOGAMY") ("primitive" () "endprimitive" "MONOGAMY") ("case" () "endcase" "MONOGAMY") ("function" () "endfunction" "MONOGAMY") ("specify" () "endspecify" "MONOGAMY") ("table" () "endtable" "MONOGAMY") ("class" () "endclass" "MONOGAMY") ("program" () "endprogram" "MONOGAMY") ("clocking" () "endclocking" "MONOGAMY") ("property" () "endproperty" "MONOGAMY") ("sequence" () "endsequence" "MONOGAMY") ("package" () "endpackage" "MONOGAMY") ("covergroup" () "endgroup" "MONOGAMY") ("generate" () "endgenerate" "MONOGAMY") ("interface" () "endinterface" "MONOGAMY") ("task" () "endtask" "MONOGAMY") ("fork" () ("join" "join_any" "join_none") "MONOGAMY") ("begin" () "end") ("`ifn?def" "`else" "`endif" "MONOGAMY") ("`celldefine" () "`endcelldefine" "MONOGAMY") ))
参考文档
GitHub - redguardtoo/evil-matchit: Vim matchit ported into Emacs
https://github.com/redguardtoo/evil-matchithttps://github.com/redguardtoo/evil-matchit/blob/master/evil-matchit-verilog.el
阅读全文
0 0
- emacs evil-matchit实现verilog配对的代码跳转
- emacs脚本实现verilog代码的缩进
- emacs代码浏览+跳转
- emacs代码浏览+跳转
- 异步FIFO的Verilog代码 DCFIFO实现
- evil-mode下emacs set-cursor-color不起作用的问题
- emacs+evil==最好用的编辑器
- FIFO的verilog代码
- dlx流水线 cpu的verilog实现,最长最长的代码。。。
- 用FPGA实现8'bitSRAM读写控制的Verilog代码
- Verilog实现同步整形电路的原理及代码解析
- Verilog实现同步整形电路的原理及代码解析
- Verilog的I2C实现
- emacs verilog mode FAQ
- emacs verilog-mode对IC顶层集成的帮助
- 异步fifo的verilog代码
- AD7352的关于verilog代码
- 定时/计数器的verilog代码
- php开发环境介绍
- linux 防火墙开启和配置防火墙端口
- EasyUI下拉框的使用和三目运算符的使用(基础)
- Spark Streaming从Kafka中获取数据,并进行实时单词统计,统计URL出现的次数
- 常用类笔记
- emacs evil-matchit实现verilog配对的代码跳转
- 小白笔记----------------------leetcode(100 Same Tree)
- 面向对象-封装
- 基于Redis的分布式锁到底安全吗?
- 基于emoji 国际通用表情在web上的输入与显示的记录
- AD 技巧
- 使用IntelliJ IDEA 配置Maven(入门)
- 深度强化学习 Deep Reinforcement Learning 学习整理
- Java8--Lambda表达式