FPGA实现图像处理中的直方图统计

来源:互联网 发布:云计算和大数据的关系 编辑:程序博客网 时间:2024/06/07 02:52

利用FSM,状态机编写程序实现直方图统计,大意为,对图像中各个灰度级的像素个数进行计算并统计。我现在利用RAM,把图像的灰度级当做地址输入,然后像素数目当做ram的内容。统计同一灰度级的像素数目就是在双口RAM中在同一地址进行内容的累加。

状态机如图所示:

其中各个状态为:


仿真结果如图所示:

其中用到了倍频,倍频方法上一个文档说过了。