静态随机存储器
来源:互联网 发布:淘宝网商贷款额度 编辑:程序博客网 时间:2024/05/18 00:11
代码:
module sram(clk, data, addr, we, q);input clk;input [7:0] data;input [7:0] addr;input we;output reg [7:0] q;reg [7:0] mem [255:0];//memory的寻址范围是0到255initial begin$readmemb("ram_init.txt", mem);endalways @ (posedge clk)beginif(we)mem[addr] <= data;//执行写操作的时候,memory的地址得到数据elseq <= mem[addr];endendmodule
testbench:
`timescale 1ns/1psmodule sram_tb;reg clk;reg [7:0] data, addr;reg we;wire [7:0] q;integer i;sram dut(.clk(clk), .data(data), .addr(addr), .we(we), .q(q));initial beginclk = 1;data = 0;addr = 0;we = 0;//for(i=0; i<256; i=i+1) dut.mem[i] = i;#200.1for(i=0; i<256; i=i+1) #20 addr = i;#200for(i=0; i<256; i=i+1) begin#20 addr = i; we = 1; data = i;end#20 we = 0;#200for(i=0; i<256; i=i+1) #20 addr = i;#100 $stop;endalways #10 clk = ~clk;endmodule
modelsim:
写:
读:
阅读全文
0 0
- 静态随机存储器
- SRAM(静态随机存储器)
- 静态随机存储器的分类
- Synchronous SRAMs 同步静态随机存储器
- Alliance Memory快读异步静态随机存储器
- Alliance Memory低功耗异步静态随机存储器选型参数表
- 随机读写存储器 (SRAM存储器 && DRAM存储器)
- 随机访问存储器
- NVRAM非易失性随机访问存储器
- 2015.4.5 随机访问存储器
- 【XSY1081】随机存储器 网络流
- 随机存储器中的“随机”指的是什么?
- 寄存器文件,随机访问存储器读写理解
- Synchronous DRAMs同步动态随机存储器
- Symbian OS的硬件——随机存储器 (RAM)
- [D-XI] DFFE 2 随机存储器 点IP-core
- 存储器
- 存储器
- 屏幕上有个按钮刷新后也去不掉的解决方法。
- HAL库的中断执行步骤--2017-8-30
- TensorFlow练习(四)——构造神经网络
- 不同类之间实现C++回调函数
- Centos查看端口占用情况和开启端口命令
- 静态随机存储器
- Editplus重命名 (保存后) 修改文件名
- D32 SparkStreaming
- 阿里云视频技术专家柿蒂:视频AI in传媒九大业务场景解析
- Ubuntu14.04下安装opencv3.0.0
- JBOSS缓存清除
- Java中的异常处理机制(1)
- Kafka/Metaq设计思想学习笔记
- command: cat