xilinx和altera 资源对比

来源:互联网 发布:u盘烧了数据恢复 编辑:程序博客网 时间:2024/05/04 06:29
xilinx和altera 资源对比:

       要比较Xilinx和Altera的FPGA,就要清楚两个大厂FPGA的结构,由于各自利益,两家的FPGA结构各不相同,参数也各不相同,但可以统一到LUT(Look-Up-Table)查找表上。LUT是FPGA(Field programmable Gate Array)的核心。

仅就逻辑资源资源对比:LUT(分布式RAM)、FF(flip-flop)、多路选择器(MUX)、算术进位逻辑。

//------------------------------------------------------------------------

altera:

一个LES:包含1 LUT 和 1 FF

以EP4CE10为例:Logic elements (LEs): 10,320

总共10320个LUT

C4工艺:60nm工艺

//------------------------------------------------------------------------

xilinx:

以XC6SLX9为例:Logic Cells:9,152;    Slices:1,430

 Spartan-6  6-input LUT architecture,一个Slice包括: 4 LUTs 、 8 flip-flops、多路选择器(MUX)、算术进位逻辑。

总共1430×4=5720个LUT。

Spartan-6工艺:45nm工艺

//------------------------------------------------------------------------

所以就二者LUT比较,EP4CE10逻辑资源多于XC6SLX9逻辑资源

原创粉丝点击