VHDL SXT函数

来源:互联网 发布:知乎精彩回答问题 编辑:程序博客网 时间:2024/06/01 07:52
sxt函数 :位宽宽展
使用时必须加上头文件:use IEEE.std_logic_arith.all;<slv_sxt_sig> = SXT(<slv_sig>, <integer_size>);
例:
 signal A: STD_LOGIC_VECTOR (7 downto 0) := "10101010";
signa B : STD_LOGIC_VECTOR (9 downto 0);
则:
B = SXT(A,10); 
   ="11_10101010" 
末位对齐,高位用A最高位填充。
原创粉丝点击