quartus II工具In-System Memory Content Editor使用方法

来源:互联网 发布:apache ant zip 乱码 编辑:程序博客网 时间:2024/05/29 09:25

                                                           quartus II工具In-System Memory Content Editor使用方法

很多情况我们在做一个项目时,会在FPGA中内嵌一些RAM或ROM,当然一般我们都会使用quartus自带的IP生成工具来完成这样memory的生成;使用quartus自带工具生成的memory IP,quartus有一个非常好用的工具来在线读写这个memory——In-System Memory Content  Edit;

    要使用这个功能,需要在生成这个mem IP时(ROM或者RAM都可以),勾选一个选项,如下图:

        允许使用“In-System Memory Content  Edit”更新内容,并设置一个RAM的ID,这个ID是在“In-System Memory Content  Edit”中区分不同的RAM时使用的。

       RAM/ROM在做了上述的设置后,将工程加载进FPGA,连接上usbblaster,打开“In-System Memory Content  Edit”,就可以对RAM/ROM里的数据进行读写了,真心非常实用的一个工具。

       如果各位童鞋使用了synplify pro/premier工具综合了同样的工程后,再将上述配置文件加载进FPGA,可能会发现这个功能没了,是的,的确没了,但是有没有办法补救呢,因为synplify的综合速度简直是忒快了,大工程忍不住的要用他来综合,办法还真有,使用“/* synthesis syn_black_box */“这个综合命令对这个RAM模块做一些设置:

例如下,例化的一个ram:
module ram(

 

)/* synthesis syn_black_box */;

 

endmodule

这样,将生成的IP例化成一个黑盒子,在使用quartus进行实现的时候,将synplify生成的网标和这个IP核的.v文件同时加进quartus的工程进行综合,生成的配置文件就可以再次使用这个工具了。

原创粉丝点击