Quartus ii调试工具之In-System Sources and Probes Editor

来源:互联网 发布:java技术培训 编辑:程序博客网 时间:2024/06/08 04:20

Quartus ii提供了In-System Sources and Probes Editor调试工具,通过JTAG接口使用该工具可以驱动和采样内部节点的逻辑值。即通过 Sources功能来驱动fpga内部信号,通过Probes功能来探测内部节点的逻辑值。在系统设计还不完整的时候可以利用该工具模拟众多的输入激励。比如,可以通过该IP核来实时修改内部某些寄存器的值,而不用重新修改代码,再全编译,再下载调试。下图就是In-System Sources and Probes Editor的框图结构。
驱动流程:通过Quartus ii软件发送驱动信号,经由JTAG接口发送到FPGA芯片,通过FPGA的JTAG接口传送到In-System Sources and Probes Editor IP 核,通过该IP核的Sources端口来驱动内部信号。
探测流程:通过Probes 端口输入探测信号到In-System Sources and Probes Editor IP 核,IP核通过JTAG接口将探测的信号发送到Quartus ii软件。
这里写图片描述

下面给出使用In-System Sources and Probes Editor的步骤
1、新建一个工程,名为test。
这里写图片描述
2、例化In-System Sources and Probes Editor IP核,名为Sources_Probes ,点击 Next进入 IP参数设置界面。
这里写图片描述
相关参数设置
(1)红框1处,选择是否指定例化IP的编号,默认设置,不用修改
(2)红框2处,是否设置IP核的ID号。因为在一个工程中,可以例化多个In-System Sources and Probes Editor IP核,该ID号就是用来区分不同的IP核。
(3)红框3处,用来设置探测(Probes)端口和驱动端口(Sources)的位宽。范围是0-511位宽。这里设置驱动和探测信号位宽都为8。
(4)红框4处,高级设置选项。可以用来设置驱动 信号的初始值以及发送驱动信号是否与源时钟同步。(通常保持默认即可)。
这里写图片描述
这里写图片描述

3、点击Finish,完成IP核的例化。
4、在工程中添加IP核的例化,为了直观地观察该IP的功能,加了一个case 模块,这样当设置不同的Sources驱动信号时,通过探测管脚Probes探测到的信号也会发生相应的改变。
这里写图片描述
5、全编译工程,通过JTAG把sof文件下载到FPGA中。
6、Tools->打开In-System Sources and Probes,界面如下图所示。
这里写图片描述
7、由于写驱动的数据默认是0x00,所以根据case语句,判断其探测到的数据应该为0x11,点击 “Read Probe Data” 按钮 ,读出Probe 确实是0x11.
这里写图片描述
8、为了验证In-System Sources and Probes Editor IP核工作原理,我们修改Source 值为0x22,并点击 “Write Source Data”按钮,将驱动值通过JTAG写到FPGA中。
这里写图片描述
9、点击红框1处“Read Probe Data” 按钮,红框2处的 探测数据 Probe Data变为0x33,与case语句里的值一致。则证明了例化的In-System Sources and Probes Editor IP核工作正常。
这里写图片描述

阅读全文
'); })();
0 0
原创粉丝点击
热门IT博客
热门问题 老师的惩罚 人脸识别 我在镇武司摸鱼那些年 重生之率土为王 我在大康的咸鱼生活 盘龙之生命进化 天生仙种 凡人之先天五行 春回大明朝 姑娘不必设防,我是瞎子 不锈钢防盗窗 塔克 妖塔 广州塔 金塔胡杨林 绯炎伊塔之柱 雷峰塔 塔佐蠕虫 现代菲斯塔 大雁塔哪里 大雁塔景点 广州塔旅游 推掉那座塔 广州塔门票 广州塔图片 大雁塔在哪里 红塔证券 戴夫巴蒂斯塔 塔寨村 大雁塔在哪 雅塔莱斯 大雁塔哪里景点 明珠塔 广州塔多高 朋抔里拉塔纳卡邹 大雁塔哪个地方 巴塞塔托 红塔证券股吧 玲珑塔 浮图塔 塔组词 塔的图片 塔图片 塔的拼音 塔英文 塔沟武校一年打死多少人 阿帕塔尼人 塔沟武校打死人图片 凡塔斯星人 永恒之塔2018还有人玩吗 塔吉克人