如何在modelsim中保存波形以便下次使用

来源:互联网 发布:linux下nslookup 编辑:程序博客网 时间:2024/05/16 13:43

之前在网上搜了好久如何将modelsim中的波形保存起来以便以后使用,因为有的时候仿真实在太花时间了,仿真了几十分钟的波形说没就没了。最后查了一下官方的文档,找到了一篇saving waveforms between two cursors,记录一下。比如说如下这一张图片,我们如何去存储它呢。一种方法是保存为图片,不过没什么用,还有一种方法就是保存为wlf文件。我们看左下方的打红圈的位置,有一个绿色的加号,我们点击两次

然后会多出来一个cursor1一个cursor2.我们在wave上面移动光标,然后相应的后面的数值也会变化。第一个移动到开头,第二个移动到末尾,然后点击前面的锁,就可以锁定了。

在cursor2那一行998994.374ns下方右键点击filter waveform...然后在弹出的窗口中从cursor1选择到cursor2,选择一个地方保存就可以了。注意一定要在文件名中写保存为.wlf。不写的话接下来导入的过程中一定要先改文件后缀,不然无法识别。还有最好选择全英文路径。试了中文路径打不开。

再次打开modelsim的时候,选择file->open,选择打开wlf文件,打开刚才的文件。这个时候就可以在左侧的栏中看到可以加入的模块和信号。这时就可以将它们加入wave中。

原创粉丝点击