VHDL实用教程读书笔记(1)

来源:互联网 发布:linux下提取dsdt 编辑:程序博客网 时间:2024/05/22 13:07

 

         一个可综合的VHDL描述中最少和最基本的逻辑结构中,IEEE标准库说明、实体和结构体是最基本和不可缺少的三部分

 1 库(LIBRARY)说明

它包括描述器件的输入、输出端口数据类型(即端口信号的取值类型和范围)中将要用到的IEEE标准库中的

STD_LOGIC_1164程序包

 2)实体(ENTITY

实体的电路意义相当于器件,在电路原理图上相当于元件符号

3)结构体(ARCHITECTURE

在电路上相当于元件的内部结构

 

实体(ENTITY)语句结构

ENTITY 实体名 IS

   [GENERIC (类属性表);]

   [PORT (端口表)]

END ENTITY;

 

GENRIC 类属说明语句

类属参量是一种端口说明常数,唱以一种说明的形式放在实体或块结构体的前的说明部分

类属说明的一般书写格式如下

GENERRIC(  常数名: 数据类型 [:设定值 ]

)

PORT端口说明

PORT引导的端口说明语句是对一个设计实体界面的说明

实体端口的的一般书写格式如下

PORT

端口名:端口模式  数据类型[:默认值]

 

结构体(ARCHITECTURE)

结构体是实体所定义的设计实体中的一个组成部分,结构体描述实际实体的内部结构或外部设计实体端口间的逻辑关系

结构体主要有两大部分组成

 1 对数据类型、常数、信号、子程序和元件等元素的说明部分

2 描述实体逻辑行为的,以各种不同描述风格表达的功能描述语句,包括各种形式的顺序描述语句和并行描述语句

3 以元件例化语句为特性的外部元件(设计实体)端口间的连接方式

结构体语句的格式如下

ARCHITECURE 结构体名 OF 实体名 IS

[说明语句]

BEGIN

[功能描述语句]

END ARCHITECURE 结构体名

 

 

信号(SIGNAL

信号是描述硬件系统的基本数据对象,它类似于连接线,信号可以作为设计实体中并行语句模块之间信息交流的通道

信号的一般书写格式如下

SIGNAL  信号名:数据类型[:初始值]

原创粉丝点击