VHDL 基础知识(1)

来源:互联网 发布:纪念册制作软件app 编辑:程序博客网 时间:2024/05/02 03:02

一、VHDL的基本结构

LIBRARY IEEE;   --库说明USE IEEE.STD_LOGIC_1164.ALL;ENTITY NAND2 IS     --实体说明      PORT(A, B: IN  STD_LOGIC;        Y: OUT STD_LOGIC);END NAND2;ARCHITECTURE NAND2_1 OF NAND2 IS    --结构体说明BEGIN     Y <= A NAND B;END NAND2_1;

1、库说明
库说明语法如下

LIBRARY 库名;USE 库名.程序包名.项目名;

库说明语句的作用范围是从一个实体说明开始到它所属的结构体为止。库由各种程序包组成,提供各种 数据类型 以及各种类型转换函数及运算 。VHDL提供IEEE库和其他库。
常用的程序包如下:
STD_LOGIC_1164 程序包:包含常用数据类型(其中有STD_LOGIC 及 STD_LOGIC_VECTOR 数据类型)和各种程序数据转换函数及其算术、逻辑运算。
STD_LOGIC_ARITH 程序包:在 STD_LOGIC_1164 的基础上定义无符号数和有符号数,及相应的算术运算,关系运算,以及各种数据类型(unsigned、signed、integer)之间的转换函数。
STD_LOGIC_UNSIGNED 和 STD_LOGIC_SIGNED 程序包:定义了integer 数据类型和STD_LOGIC 及 STD_LOGIC_VECTOR 数据类型混合运算的运算符,并定义了unsigned、signed 与 STD_LOGIC_VECTOR 数据类型之间的转换函数,以及STD_LOGIC_VECTOR 数据类型与 integer 数据类型之间的转换函数。

0 0
原创粉丝点击