我的VHDL学习笔记(5)

来源:互联网 发布:中国保险网络大学登录 编辑:程序博客网 时间:2024/04/28 16:06
  • 三态门

三态门的应用 三态门的有很多的实际应用,比如数据和地址BUS的构建,RAM或堆栈的数据端口设计等。

三态门的设计 当输入输出状态时,dataout<=datain;而当高阻状态的时候,则dataout<="ZZZZZZZZ"

注:

尽可能不用'Z'做比较值,表达式和操作数。否则综合会出错。

VHDL虽然不区分大小写,但是高阻态已经定义为大写'Z'。

大多数FPGA器件内部都无法构成三态门,所以只能用多路选择器的结构来实现,有的甚至在端口都无法实现。

  • 双向端口设计

双向端口inout 模式的设计也必须考虑到三态的使用,其实是高阻的使用。这是因为,当双向端口在完成输入的时,必须使原来呈输出模式的端口呈高阻态,否则,待输入的外部数据势必会与端口处原有电平发生“线与”。