为ModSim编译Xilinx仿真库

来源:互联网 发布:中心机房网络设置 编辑:程序博客网 时间:2024/06/05 08:45

运行命令:

compxlib -arch all -l all -s mti_se -p C:/Soft/ModSim6.5G/win32 -dir C:\Soft\ModSim6.5G\xilinx_lib\ -oem -lib unisim -lib simprim -lib xilinxcorelib -lib edk -exclude_superseded -w -log C:\Soft\ModSim6.5G\xilinx_lib\compxlib_out.log

其中:C:/Soft/ModSim6.5G/win32 为Modsim安装目录,C:\Soft\ModSim6.5G\xilinx_lib\为仿真库编译后存放的位置。命令会修改ModSim的modsim.ini,如果未能修改也可手动修改:执行C:\Soft\ModSim6.5G\xilinx_lib\中的.cxl.mti_se.nt64.cmd中 vmap开头的命令即可。

更简单的方法:

在Xilinx Paltform Studio中--->edit--->preferences-->Simulation中设置所用的modsim,点击complie,根据提示完成即可。


附:

1.涮洗命令:grep vmap .cxl.mti_se.nt64.cmd

2.文件路径问题:替换'\’ 为 ‘/’ : sed  ‘s/\\/\//g’ filename