windows XP下 iverilog+GTKWave使用(三)
来源:互联网 发布:axure 8.0 for mac 编辑:程序博客网 时间:2024/04/30 12:47
windows XP下 iverilog+GTKWave使用(二)当中只有一些显示信息在终端里面,这里讲讲如何生成lxt2文件以便可以被GTKWave调用。在counter_tb.v文件里添加以下语句:
initialbegin$dumpfile("test.vcd");$dumpvars(0,test);end
最终文件如下:
`timescale 1ns/1nsmodule test; /*Make a reset that pulses once.*/reg reset = 0;initialbegin#17 reset = 1;#11 reset = 0;#29 reset = 1;#11 reset = 0;#100 $stop;end/*Make a regular pulsing closk*/reg clk = 0;always #5 clk = !clk;wire [7:0] value;counter c1 (value, clk, reset);initial$monitor("At time %t, value = %h (%0d)",$time, value, value);initialbegin$dumpfile("test.vcd");$dumpvars(0,test);endendmodule
另存为counter_tb_gtk.v,然后进行下面的命令:
G:\Verilog HDL\iverilog\Demo\counter>iverilog -o test counter.v counter_tb_gtk.v
G:\Verilog HDL\iverilog\Demo\counter>ls
counter.v counter_tb_gtk.v my_design test
counter_tb.v file_list.f mydesign
G:\Verilog HDL\iverilog\Demo\counter>vvp -n test -lxt2
LXT2 info: dumpfile test.vcd opened for output.
At time 0, value = xx (x)
At time 17, value = 00 (0)
At time 35, value = 01 (1)
At time 45, value = 02 (2)
At time 55, value = 03 (3)
At time 57, value = 00 (0)
At time 75, value = 01 (1)
At time 85, value = 02 (2)
At time 95, value = 03 (3)
At time 105, value = 04 (4)
At time 115, value = 05 (5)
At time 125, value = 06 (6)
At time 135, value = 07 (7)
At time 145, value = 08 (8)
At time 155, value = 09 (9)
At time 165, value = 0a (10)
G:\Verilog HDL\iverilog\Demo\counter>cp test.vcd test.lxt
G:\Verilog HDL\iverilog\Demo\counter>gtkwave test.lxt
未完待续。。。(见(四))- windows XP下 iverilog+GTKWave使用(三)
- windows XP下 iverilog+GTKWave使用(一)
- windows XP下 iverilog+GTKWave使用(二)
- windows XP下 iverilog+GTKWave使用(四)
- verilog 学习记(mac安装iverilog和gtkwave)
- verilog之四位全加器的编译及仿真(用开源免费的软件——iverilog+GTKWave)
- WINDOWS(XP)下使用copSSH配置GIT服务器
- Windows XP 下使用IIS5.1
- windows XP下使用wubi安装Linux
- Windows XP 下使用 Git 小记
- python中使用mysql(windows xp下)
- Ubuntu下使用虚拟机安装Windows XP
- Windows XP下设置多用户使用环境
- windows xp 下使用FileZilla密钥
- Ubuntu下使用虚拟机安装Windows XP
- windows xp/Linux下使用ipv6服务
- Ubuntu下使用虚拟机安装Windows XP
- Windows XP下usbport.sys驱动内部实现解析(三)
- SQL Server创建索引
- 2012 考试计划
- 第二类斯特林数
- ORACLE的锁机制
- 游戏开发笔记的链接
- windows XP下 iverilog+GTKWave使用(三)
- Base knowledge of audio
- ORACLE 11g R2 64位备份恢复到ORACLE 11g R2 32位 问题处理
- 针对大数据量表的优化查询
- 泰泽逐渐成为HTML5领域的领先者 - Tizen pops up as HTML5 winner
- 密码框显示和隐藏
- eMMC简介
- 黑马程序员,延迟加载的单例设计模式
- Linux 环境变量