FPGA调试心得

来源:互联网 发布:拐弯网络大电影 编辑:程序博客网 时间:2024/06/09 20:15

一、基本原则

由简入繁:对一个复杂的东西(代码或模块),先研究简单的、笼统的(先读文档,再看例子),最后再进行困难的(自己动手来操作)。

反复对比:如果A成功,B不成功。对A和B进行更改,取其公共部分,设计A1,B1来进行对比,找到不同,继而分析问题所在。

刨根问底:坚信:这一定是我那里没弄好,不断尝试。多角度地纵深的挖掘。疑难问题更要注意细节,从细节上直接或间接地寻找问题。

二、一些技术

1、对比:用于寻找问题所在

有这段代码(参数)和没有这段代码(参数)的对比,

2、强化比较

单独拿出被认为是问题所在的信号,设置一个对比信号,要求对比信号与原信号的差异尽可能的小。然后单独看这两个信号进行对比,然后得出结论。

3、穷举法

用于复杂系统,状态比较少而又不知道那里出错的情况下。要详细记录每一次实验的结果,不怕麻烦。

4、将结果可视化

通过仿真或ChipScope将欲观察的结果可视化。

5、将复杂过程分段

如果一个过程出错,则需要一个个的看,将每一个中间接过可视化然后观察。

三、

加入一个代码错误太多,比如错误率>50%,不妨重新写。

原创粉丝点击