lab simulation files' hierarchy(systemverilog)

来源:互联网 发布:linux的串口调试工具 编辑:程序博客网 时间:2024/06/05 06:36

    \source\tb\systemverilog  中是testbench、module及相关class的定义,tb_program是module,在unit_name.sv中实例化为testbench;  \simulation\questa\tc_ddr2ramif中放的是testcase.sv,testcase.sv 是定义为ddr2ramif_environment_class的task,在testbench中被调用。调用关系如下:

unit_name.sv-->tb_program.sv(module,instantiate as testbench)-->ddr2ramif_environment_class-->tesecase(task sim_transm())

    unit_name.sv中instantiate top module,并调用module tb_program, 将其instantiate as testbench, tb_program中调用class ddr2ramif_environment_class,并调用tesecase(task sim_transm())。tesecase中的this即为class ddr2ramif_environment_class. ddr2ramif_access_class中定义并实现了各种对ddr进行操作的task。

0 0