verilog的描述风格

来源:互联网 发布:php时间戳代码 编辑:程序博客网 时间:2024/05/19 17:59

        Verilog HDL 有多中描述风格,具体可以分为:结构描述,数据流描述,行为描述,混合描述。

        结构描述是指通过调用逻辑原件,描述它们之间的连接来建立逻辑电路的verilog HDL模型。这里的逻辑元件包括内置逻辑门、自主研发的已有模块、商业IP模块。所以结构描述也分为门级结构描述和模块级结构描述。通过观察是否有功能模块或原语的实例化可以判断是否有结构描述。

数据流描述是指根据信号之间的逻辑关系,采用持续赋值语句描述逻辑电路的方式。通过观察是否使用assign赋值语句可以判断是否有数据流描述。

        行为描述是指只注重实现的算法,不关心具体的硬件实现细节。这与C语言编程非常类似。通过观察是否使用initial 或always语句块可以判断是否有行为描述。

混合描述是指以上几种描述方法都存在的一种描述方式。具体在一个工程中,不可能只是用单独哪一种描述方式,一般都是各种描述方式的混合。

        逻辑电路的结构描述侧重于表示一个电路由哪些基本元件组成,以及这些基本元件的相互连接关系。逻辑电路的数据流描述侧重于逻辑表达式以及Verilog HDL中运算符的灵活运用。逻辑电路的行为描述侧重于电路的输入输出的因果关系(行为特性),即在何种输入条件下,产生何种输出(进行何种操作),并不关心电路的内部结构。EDA综合工具能自动将行为描述转换成电路结构,形成网表文件。当电路规模较大货时序关系较为复杂时,通常采用行为描述方式进行设计。

        在数字电路设计中,寄存器传输级(RTL)描述在很多情况下时钟能够被逻辑综合工具接受的行为级和数据流级的混合描述。因此RTL级描述的目标就是可综合,而行为级描述的目标就是实现特定的功能而没有可综合的限制。并不是所有的行为级描述都可以被综合。同样是for语句,如果循环条件是常数,就是RTL的,如果是变量,就是行为级的。
0 0