Verilog中$fopen $fdisplay $fclose的用法

来源:互联网 发布:淘宝详情页模板怎么做 编辑:程序博客网 时间:2024/06/04 19:20
1.系统任务$fopen打开文件。用法有两种:
$fopen("<File Name>");
<File Handle>=$fopen("<File Name>");
2.$fdisplay将数据写入指定的文件中。例如:
H1=$fopen("File Name");     %取一个文件的句柄
$fdisplay("Data");     %将数据写入文件
3.关闭文件的时候使用fclose。
fclose(<File Handle>);     %这里的文件句柄就是在$fopen中获得的文件句柄
0 0
原创粉丝点击