verilog 中最后用$fclose()的错误

来源:互联网 发布:なごみ 知乎 编辑:程序博客网 时间:2024/05/18 03:31
用下边的的语句实现了一个写文件的功能

integer fw_id;
initial begin
 fw_id=$fopen("first.txt","w");
 $fmonitor(fw_id, "%h\n", x_rl_a1_o);
 $fclose(fw_id);

end

结果就出现了这个:

$fmonitor : Argument 1 is an unknown file descriptor.


在网上搜了好久没有找到答案:最后用谷粉搜到 http://community.cadence.com/cadence_technology_forums/f/30/t/9584;

才得以解决

删除最后的 $fclose(fw_id);就ok了。

0 0