Quartus+Modelsim仿真

来源:互联网 发布:体毛多 知乎 编辑:程序博客网 时间:2024/05/29 04:14

春节加班,由于公司没有板级调试的条件,所以将部分功能工用仿真调试。说明下要调试的功能,应当说是一段标准逻辑,输出的波形基本固定,只是实现的方法不同。通过仿真可以确定波形中哪些地方可以优化,并找到相应代码,为将来优化或者重构打基础。

1.安装Quartus和Modelsim并破解。
2.在Quartus中将.v文件编译通过。
3.在Modelsim的work中添加.v文件,并通过source->show language template->create testbench,创建.vt文件。

4.设置时钟精度,添加简单clk及rst信号逻辑。
`timescale 1ns / 1ns  //精确到1ns

initial begin
CLK50MHZ = 0;
forever 
#10 CLK50MHZ = ~CLK50MHZ;//产生50MHz的时钟
end   

initial begin
n_rest = 1'b0;
#1000;
n_rest = 1'b1;//上电后1us复位信号
#1000_000;
$stop;
end

5.在Modelsim中编译.v文件和testbench文件,start simulate->选择*tb.v文件,去优化使能。

6.在object框中选择要仿真的信号点击run,开始仿真。





1 0