(0)FPGA自学之路-笔记0

来源:互联网 发布:加密软件 dg 编辑:程序博客网 时间:2024/06/06 03:26

IP核

片内逻辑片外逻辑

Qys

 

标准IP核,自编IP核

.vhd 写的一个IP核     .tcl  保存设置

  

 

System.h  存放系统硬件配置(Qys连线改了之后,该文件自动存储)

 

 

文件名不区分大小写

Qys改完之后,要点击四个(最后点改基址),另外双击输出,改文件名(去掉_0)添中断号

SD_card  都是系统自带IP核,没有用户设置  (Qys里没有mycomponent)

自己搜索添加,并且配置

每个件,export最后一个都双击

74257数据选择器 (spi速度选择)

Qys最后弄完general一下

 

 

1、硬件更新


2、软件移植

Cril+左键  点开文件

1 0