MODELSIM10.1C仿真带有IP的工程失败

来源:互联网 发布:印刷设计排版软件 编辑:程序博客网 时间:2024/06/06 05:11

问题解决分三个步骤:

1. 发现问题

仿真带有IP的工程总是不能通过,提示

# ** Error: E:/Work/workingspace/altera/13.0sp1/prac/19_PDS/sim/Video_Image_Processor_TB/Line_Shift_RAM_8Bit.v(84): Module 'altshift_taps' is not defined.

# ** Error: ... Instantiation of 'altshift_taps' failed. The design unit was not found.

  Quartus II中提供的仿真库文件存放的路径是...

D:\altera\13.0sp1\quartus\eda\sim_lib


2. 寻找方法

百度上一通狂搜,试过以下办法,可能是没理解透,库没有添加完全,还是不行

refer1:

http://blog.sina.com.cn/s/blog_b6b604690101h5xa.html

在ModelSim中进行仿真需要加入Quartus提供的仿真库

refer2:

http://www.docin.com/p-666687028.html

如何在modelsim中添加altera的仿真库


3. 解决问题

最后走了个捷径

在别人带有IP的仿真例程上,把新增的文件加上,

按照参考文献的方法增加altera_mf,220model,altera_primitives,cyloneiv_ver,lpm_ver等库;

仿真可以成功;


我用的是crazybingo的例程;


尾巴:

按照常规方法例化的反正切函数位宽只有6bit,不太对,还不知道怎么搞;






0 0