【modelsim和debussy】设置

来源:互联网 发布:2015旅游数据 编辑:程序博客网 时间:2024/05/17 08:26

1.debussy版本对应的是debussy 5.4V9,modelsim使用的是10.1a

2.需要注意64bit的modelsim,如64bit-10.2C和debussy不兼容,无法识别novas.dll,需要安装10.1a

3.使用debussy查看modelsim的波形设置如下:

a,首先将debussy安装目录下的novas.dll复制到modelsim 安装目录下的win32下;

b,更改modelsim.ini的可读属性去掉,找到Veriuser更改为Veriuser = D:/Novas/Debussy/share/PLI/modelsim_pli54/WINNT/novas.dll,注意使用/

c,新建环境变量:PLIOBJS = D:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll

d,在tb文件中添加

initial

begin

$fsdbDumpfile("1.fsdb");

        $fsdbDumpvars;

end

原创粉丝点击