modelsim与debussy联调环境的搭建

来源:互联网 发布:软件测试 培训 编辑:程序博客网 时间:2024/06/01 10:16

转自 http://blog.chinaaet.com/crazybird/p/5100000161  作者:crazybird

    (如有侵权会尽快删除)


    为了方便查看波形,找来了一款软件——debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件。而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多时间,但modelsim的编译仿真功能很强大。那何不把它们的优点结合起来呢?从这个目的出发,下面即将介绍modelsim与debussy联调环境的搭建。

        首先是modelsim和debussy软件的安装。由于前面已介绍过modelsim的,故这里只介绍debussy软件的安装过程。

    一、debussy安装

    1、进入debussy安装包目录下,可以看到安装执行文件Debussy-54v9-NT.exe和破解文件crack,如图1所示。进入crack目录下,可以看到破解文件,如图2所示。

    1.bmp

    图1  debussy安装包目录

    2.bmp

    图2  crack目录

    2、点击Debussy-54v9-NT.exe开始软件的安装,在弹出的对话框中选择安装路径(可根据实际情况选择硬盘路径),如图3所示。

    3.bmp

    图3  选择安装目录

    4、点击Next,弹出询问“文件不存在,是否创建它?”的对话框,选择Yes,如图4所示。

    4.bmp

    图4  是否创建文件

    5、图5所示为安装类型选择,有三个选项,分别为Typical(典型的,安装大部分的组件)、Compact(精简的,安装最小组件)和Custom(定制的,可自行选择安装组件),这里选Typical。

    5.bmp

    图5  选择安装类型

    6、点击Next、Next,出现安装进程的对话框,如图6所示。

    7.bmp

    图6  安装进程

    7、等安装进程结束后,出现安装完成界面,如图7所示。点击Finish,完成debussy的安装。

    8.bmp

    图7  安装完成界面

    8、在破解软件之前,debussy是打不开的。点击crack.exe,开始破解软件,如图8所示。

    9.bmp

    图8  点击crack.exe

    9、在弹出的对话框中,选择Browse...,找到安装目录下的debussy执行文件(这里为C:\Novas\Debussy\bin\Debussy.exe,大家可根据具体情况进行选择),如图9和图10所示。

    10.bmp

    图9  点击Browse...

    11.bmp

    图10  选择Debussy.exe

    10、点击打开,在点击Crack进行软件的破解,如图11所示。

    12.bmp

    图11  破解

    11、如果破解成功,将弹出图12所示对话框。最后关闭破解软件,此时就可以打开debussy软件了。不信,试试?*^_^*

     13.bmp

    图12  破解成功

    二、modelsim与debussy联调环境的搭建

    1、将debussy的安装路径添加到电脑用户变量path中。右键单击计算机,选择“属性”、“高级系统设置”、高级选项卡中的“环境变量”,在用户变量栏中选择path再点击“编辑”,然后在变量值后面加分号(注意是英文的分号)再加debussy的安装路径,最后确 定、确定、确定完成环境变量设置,如图13~图17所示。

    15.bmp

    图13  计算机属性

    16.bmp

    图14  选择“高级系统设置”

    17.bmp

    图15  点击“环境变量”

    18.bmp

    图16  编辑path1

    19.bmp

    图17  编辑path2

    2、将C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT中的novas.dll文件复制到modelsim安装目录下的win64(我的电脑装的64位的)文件内,如图18和图19所示。

    20.bmp

    图18  Debussy安装目录下novas.dll

    21.bmp

    图19  modelsim安装目录下novas.dll

    3、去掉modelsim安装路径下modelsim.ini只读属性并打开(这里用notepad++,也可以用其他编辑器打开),如图20和图21所示。

    22.bmp

    图20  去掉modelsim.ini只读属性

    23.bmp

    图21  打开modelsim.ini

    4、将modelsim.ini中添加“Veriuser=novas.dll”并勾上只读属性,如图22和图23所示。

    24.bmp

    图22  添加“Veriuser=novas.dll”

    25.bmp

    图23  勾选modelsim只读属性

    5、将C:\Novas\Debussy\share\PLI下的modelsim_pli54文件夹复制到modelsim安装路径下,如图24和图25所示。

    26.bmp

    图24  debussy安装目录下的modelsim_pli54

    27.bmp

    图25  modelsim安装目录下的modelsim_pli54

    6、在计算机环境变量中的用户变量栏新建变量名PLIOBJS,变量值C:\modeltech64_10.2c\win64\modelsim_pli54\WINNT\novas.dll,如图26所示。点击确定、确定、确定完成modelsim与debussy联调环境的搭建。

    28.bmp

    图26  新建环境变量

        其中上面的4~6步骤的目的是为了可以在testbench中通过PLI接口调用debussy的系统函数。关于modelsim与debussy的联合使用将在后续文章进行介绍~~~~



    0 0
    原创粉丝点击