UVM REGMODEL

来源:互联网 发布:subnautica优化 编辑:程序博客网 时间:2024/06/01 10:40
`ifndef MY_VSQR__SV`define MY_VSQR__SV
class my_vsqr extends uvm_sequencer;
my_sequencer p_my_sqr;
bus_sequencer p_bus_sqr;
reg_model p_rm;
function new (string name, uvm_component parent);
super.new(name, parent);
endfunction
`uvm_component_utils(my_vsqr)
endclass
`endif