UVM---开篇

来源:互联网 发布:淘宝旺旺客服兼职 编辑:程序博客网 时间:2024/06/06 19:37

工作之余,发现一本不错的介绍UVM的书,翻译一下,来一下提高自己;

UVM-Universal Verification Methodogy,规定了详细的验证策略,目的是提高验证效率和验证的充分。其中,UVM重要的原则之一是开发了可重用的验证组件,也叫做UVCs--UVM Verification Comonents。UVM不仅能够验证small design,还能验证large-gate-count,IP-based system-on-chip design。

UVM你要知道的:

1.它是成熟的验证方法学,UVM源代码基于OVM库,只是在OVM源代码的基础上做了些修改;

2.它是开放的,不收费的(呵呵),它是Accellera新标准,源代码是开放的(目标是作为IEEE标准);

3.它是兼容的和可移植的,意思是它在所有的主流仿真器中得到了测试;

 

从技术面上说,UVM使用了面向对象的UVC,而且UVC之间是“内部交互的”-inter-operate,UVM的特性如下:

1.Data Design:同VMM一样,UVM把验证平台划分为数据类和验证组件,而且提供了一些内部函数供调用如,copy、compare、pack函数等;

2.待续;

 

0 0
原创粉丝点击