Systemverilog中的并发

来源:互联网 发布:刺客信条大革命1.6优化 编辑:程序博客网 时间:2024/06/05 19:31
  

  在systemveriog中,存在三种并发执行语句,分别是fork..join,fork...join_any和fork..join_none,其中只有fork...join_none不会发生阻塞,也就是说,fork...join_none块中的语句如果和其它语句在同一个begin...end块中,不会因为代码出现的顺序而影响执行的时间,fork...join语句会并发执行其中的语句,并且阻塞其它语句,只有fork...join块执行完成之后才能执行其它语句,fork...join_any块也会阻塞其它语句,但是块中的一条语句执行完成之后就会执行其它语句。

   fork...join_none语句的完全并发的特性会带来一些其它问题。

for(i=0; i < 3; i++)    fork        #5 $display("@0%dtime creat %0d process",$time,i);    join_none

 上面的语句执行的结果是 输出三句 @5 creat 3 process。并不会出现每创建一个线程就会打印一个i,这个小bug是由于ork..join_none语句的非阻塞特性,每一个线程创建的时候不会立即执行,而是动态的对线程进行创建,等到仿真时间的最后时刻再执行这些动态创建的线程,但是由于变量i是所有线程共享的一个全局变量,因此创建线程的循环过程中会对i的值产生影响,因此产生了错误的结果。解决的办法也很简单,只要创建线程的同时也创建一个自动保存的变量用来保存线程的序号,就可以实现并行创建线程,同时每个线程有自己独立的自动变量了。


for(i=0; i<3 i++)    fork        automatic int k = j;        #5 $display("@%0d time process %0d is created",$time,k);    join_none

如果并行的语句在定义为automatic的程序或者模块中,就不用再使用关键词automatic进行存储了。

fork...join_any可以用于并发执行两个线程,当其中一个被执行之后就结束线程。比如在对发送信息进行建模时,可以使用fork...join_any进行建模,一个线程等待应答信号,一个线程延时指定时间,如果超过这个时间就当作超时进行处理。

从下面一段代码中可以很清楚的看出,fork...join和for...join_none的区别。

`define TIME_OUT 10module change_object;program automatic test;task wait_for_time_out(int id);if(id == 0)fork begin# 2;$display("@%0t: disable wait_for_time_out",$time);disable wait_for_time_out;endjoin_nonefork: just_a_littlebegin$display("@%0t: %0d entering thread",$time,id);# `TIME_OUT;$display("@%0t: %0d done",$time,id);endjoin_noneendtaskinitial beginwait_for_time_out(0);wait_for_time_out(1);wait_for_time_out(2);#(`TIME_OUT* 2) $display("@%0t:ALL DONE",$time);endendprogramendmodule

使用fork...join_none时,必须使用自动保存变量保存进程中可能会修改的值,或者在自动保存的块中使用for...join_none,才能保证正确的并发执行,如果直接使用fork...jone,只能保证task内部的并发执行,如果在task外部多次调用task,还是会按照顺序执行的方式依次创建线程并且执行,因此和并发执行的本意是相违背的。