modelsim仿真带IP核(PLL)的方法

来源:互联网 发布:数据响应200 编辑:程序博客网 时间:2024/05/18 18:01
单击“simulation”菜单栏下的“start simulation”,在弹出的对话框中点击“Libraries”,添加两个库路径,220model 以及 altera_mf,其路径具体在“D:\altera\11.0\11.0_modelsim_ase_windows\modelsim_ase\altera\verilog”下。
0 0
原创粉丝点击