modelsim仿真MCB DDR3 IP核时的报错
来源:互联网 发布:对时软件 编辑:程序博客网 时间:2024/06/05 20:43
问题描述:
ddr3_model_parameters_c3.vh这个文件总是编译不过去,有错误。
错误提示: Error: (vlog-2902) ddr2_model_parameters_c3.vh(214): A `define was found on the same line as a SystemVerilog `ifdef, `ifndef, `elsif, or `else.
可以在命令行中添加如下命令,忽略次错误!
-suppress 2902
例如,注意-suppress 2902的添加位置,其次参考ISE生成参考例程中sim.do文件的编写
vlog +incdir+. +define+x2Gb +define+sg15E +define+x16 -suppress 2902 ddr3_model_c1.v
原因是Modelsim 10.1c以后的版本才引入2902这个error,,之前的版本这个只是个警告,Xilinx官方的解释解释把这个error这个错误忽略掉。利用-suppress 2902。注意如果你当前是Modelsim 10.1c以后版本,则一定要添加-suppress 2902,之前的就不要添加了。。。
2 0
- modelsim仿真MCB DDR3 IP核时的报错
- IP核在modelsim里面的仿真
- 开源MC8051 IP核在Modelsim下的调试仿真
- 如何用modelsim仿真包含quartus IP核的工程
- modelsim仿真xilinx ip核的方法举例
- modelsim仿真带IP核(PLL)的方法
- FPGA中modelsim对IP的仿真
- ModelSim中仿真Xilinx IP核
- 用Modelsim仿真PLL IP核
- 在quartus中调用DDR3 IP核编译报错
- ISE10.1中复数乘法器IP核使用ModelSim仿真时出现“Module 'CMPY_V2_1' is not defined.”错误的解决方法
- FIR滤波器仿真----基于Quartus II的FIR II IP核与ModelSim-Altera的联合仿真
- 如何在ModelSim中仿真Quartus的bdf文件和IP核
- 关于在Quartus II和ModelSim中进行FPGA仿真报错的问题
- modelsim环境下仿真altera NCO IP核
- modelsim 仿真 altera IP核(ROM,RAM实例)
- FFT核Modelsim仿真
- FPGA使用IP core中的DDR3模块遇到的报错(ERROR:NgdBuild:770
- jquery解析json
- 彩色视频转换为灰度视频输出
- Redis学习(一)
- 54_安全退出Activity
- mahout如何使用随机森林测试数据集
- modelsim仿真MCB DDR3 IP核时的报错
- ECSHOP如何解决Deprecated: preg_replace()报错
- 55_Activity启动模式
- 不知道什么原因在c++上运行正常,在codevs 上就是提交通不过
- java 面向对象
- (OK) find-alter-files.sh——递归
- Activity状态的几种保存方法
- 第七届科技节科技制作须知
- 56_Application节点和对象