modelsim 保存仿真结果(波形文件)

来源:互联网 发布:mac版chrome插件下载 编辑:程序博客网 时间:2024/05/22 06:12

1、在wave界面,将仿真波形保存为 .do文件(信号文件)。

2、切换左边任务栏至“sim”,,点击保存,给将要保存的 .wlf文件(波形文件)命名。

3、保存,OK,关闭modelsim.

4、重启modelsim,open 之前保存的 .wlf文件,然后 load 对应的 .do文件。

0 0