在Quartus II 13.1里RTL视图问题
来源:互联网 发布:网络新媒体就业前景 编辑:程序博客网 时间:2024/05/17 08:56
我在Quartus II 13.1写了一个加法器,程序如下
`timescale 1ns/1nsmodule Counter_Design( //global clock input clk, //50MHz input rst_n, //user interface output reg [3:0] cnt);//----------------------------//Counter for 4 bit dataalways@(posedge clk or negedge rst_n)begin if(!rst_n) cnt <= 0; else cnt <= cnt + 1'b1;endendmodule
所生成的RTL视图如下:
在加法器中cnt的值应每次加一,而在RTL视图中出现了B[3:0]为4’h8的情况,本以为这里应为4’h1。后来经过细致观察发现,点开RTl视图左边的B[3:0]属性发现 B[0]位为高,其他位为低,因此推断B在这里应为一个选择信号,并不是cnt要加的数,B[3..0]的4’h8只是选择cnt的第0位加1。
0 0
- 在Quartus II 13.1里RTL视图问题
- Quartus II 问题集锦
- 解決在Quartus II无法使用ModelSim的问题
- (笔记)在Quartus II与ModelSim下仿真,对计数初值的设置问题(ModelSim)(Quartus II)(Verilog)
- 如何在Quartus II-13.0里查看综合器生成的原理图
- quartus ii 6.1 task窗口 就是有那个RTL view 显示 | 无用脚设为三态
- Quartus II 13.1 (32-bit)
- 在Quartus II中设置Virtual pin
- 关于quartus ii 破解失败的问题
- 关于在Quartus II和ModelSim中进行FPGA仿真报错的问题
- 关于quartus II 13.1 的USB-Blaster和ModelSim-Altera 10.1d的问题
- 关于 Quartus II 13.1中调用ModelSim-Altera 10.1d 报错问题
- 如何將編譯結果,統一放在一個目錄下? (SOC) (Quartus II)
- 如何在Quartus II中设置Virtual pin
- Quartus II 9.0 在Win7 64Bit下破解
- 如何在Quartus II 11.0中调用ModelSim-Altera 6.6
- 如何在Quartus II中设置Virtual pin及常见问题
- 如何在Quartus II中设置Virtual pin
- 查找线索二叉树的前驱和后继
- 从关键类认识 Spring security
- JS相关网站
- 机器学习前导---IBM讲座笔记
- 关于521
- 在Quartus II 13.1里RTL视图问题
- MyBatis调用存储过程,含有返回结果集、return参数和output参数
- 最长上升连续子序列
- Android开发准备——Java和Android Studio环境搭建
- JZOJ 4.15 1666——【AHOI2009】飞行棋
- JSP入门_TWO
- wsdl配置文件
- WAV文件格式分析
- 一些常见的问题错误及解决方式