CRC校验

来源:互联网 发布:sql查询多表相同列名 编辑:程序博客网 时间:2024/06/05 16:58

一定义

CRC即循环冗余校验码(Cyclic Redundancy Check):是数据通信领域中最常用的一种查错校验码,其特征是信息字段和校验字段的长度可以任意选定。循环冗余检查(CRC)是一种数据传输检错功能,对数据进行多项式计算,并将得到的结果附在帧的后面,接收设备也执行类似的算法,以保证数据传输的正确性和完整性。


二、生成步骤

1、将X的最高次幂为R的生成多项式G(X)转换成对应的R+1位二进制数。
2、将信息码左移R位,相当于对应的信息多项式C(X)*2R
3、用生成多项式(二进制数)对信息码做除,得到R位的余数(注意:这里的二进制做除法得到的余数其实是模2除法得到的余数,并不等于其对应十进制数做除法得到的余数。)。
4、将余数拼到信息码左移后空出的位置,得到完整的CRC码。
例子

三、例子

【例】假设使用的生成多项式是G(X)=X3+X+1。4位的原始报文为1010,求编码后的报文。

解:

1、将生成多项式G(X)=X3+X+1转换成对应的二进制除数1011。

2、此题生成多项式有4位(R+1)(注意:4位的生成多项式计算所得的校验码为3位,R为校验码位数),要把原始报文C(X)左移3(R)位变成1010 000

3、用生成多项式对应的二进制数对左移3位后的原始报文进行模2除(高位对齐),相当于按位异或:

1010000

1011

------------------

0001000

0001011

------------------

0000011

得到的余位011,所以最终编码为:1010 011

四、算法

module CRC8(EN,data,crc);
parameter WIDTH=12;
input EN;
output[7:0]crc;
input[WIDTH-1:0]data;
reg[7:0]crc;
wire[7:0]poly=8'h31;//x8+x5+x4+1-->0x131,ignore MSB
reg[WIDTH-1+8:0]crc_reg;
integer len;
always@(EN)
begin
if(!EN)
begin
crc=8'h00;
crc_reg={data,8'h00};
end
else
begin
for(len=WIDTH+8;len>0;len=len-1'b1)
begin
if(crc_reg[WIDTH-1+8])
begin
crc_reg[WIDTH-1+8:WIDTH]=crc_reg[WIDTH-1+8:WIDTH]^poly;
crc_reg=crc_reg<<1'b1;
end
else
crc_reg=crc_reg<<1'b1;
end
crc=crc_reg[WIDTH-1+8:WIDTH];
$display("Convertion done! CRC is: 0x%2x",crc);
end
end
endmodule
CRC校验检测电路
CRC-8的电路框图CRC-8的电路框图
module crc(dataout,datain,clk,rst);[1] 
input clk,rst,datain;
output dataout;
DFF a1(clk,dataout,Q7,rst),
a2(clk,Q7,Q6,rst),
a3(clk,Q6,Q5,rst),
a4(clk,Q5,Q4,rst);
xor a5(temp5,Q4,dataout);
DFF a6(clk,temp5,Q3,rst);
xor a7(temp4,Q3,dataout);
DFF a8(clk,temp4,Q2,rst),
a9(clk,Q2,Q1,rst),
a10(clk,Q1,Q0,rst);
xor a11(dataout,Q0,datain);
endmodule
////////////////////////////////////////
module DFF(clk,D,Q,rst);
input clk,D,rst;
output Q;
reg Q;
always@(posedge clk or posedge rst)
begin
if(rst)
Q<=0;
else
Q<=D;
end
endmodule

原创粉丝点击