FPGA控制舵机转动

来源:互联网 发布:php header设置cookie 编辑:程序博客网 时间:2024/05/01 16:10

                                                                                                       FPGA控制舵机转动

    硬件平台:DE2-70    

   软件平台:Quartus13.0

   编程语言:Verilog HDL

1.舵机的工作原理

       舵机接出来有三根线,一根红色的是电源线,一根棕色的是地线,还有一根橘黄色的是信号线。舵机的工作电压在4.8V到6V,给它接的电源不同,会影响舵机转动的速率以及舵机的输出力矩。我们的板子上面的供电是5V电压。

       舵机里面是一个步进电机和一个基准电路以及其他的一些部件,信号线进来不同的信号时会和基准电路进行比较,从而来决定舵机的转动方向。对于舵机的工作原理不需要知道很清楚,知道怎么控制就行了。

2.舵机的控制原理

       控制舵机旋转的角度就是控制脉冲的高电平时间,在一个周期为20ms的脉冲里面高电平持续的时间决定了舵机转动的角度,180度舵机对应关系如下:0.5ms--0度,1ms--45度,1.5ms--90度,2ms--135度,2.5ms--180度。具体对应关心还要自己在写程序中慢慢调试。

3.舵机的接线

 

        开发板上的GPIO口都可以作为信号端,通过给IO口写0写1来控制舵机

4.程序控制舵机转动

       

      通过对舵机的具体操作做了调整,舵机在13ms时对应的是90度。

      

      通过分频计数来截取一个20ms的周期脉冲,在这个周期的某一处开始给IO口高电平,根据要让舵机旋转的角度来确定什么时候来给IO口低电平,让后结束这个周期,重新

计数。

       

 

      通过状态机来让舵机进行不停的转动。在第一个状态让舵机转动0度,下一个状态让舵机转动90度,再下一个状态让舵机转动0度,这样舵机就转动起来了。

      舵机的控制原理主要是要抓住他是通过控制高电平持续的时间来控制舵机转动的角度的。

 

1 0
原创粉丝点击